Thermal Implications of Energy-Saving Schedulers

Authors Sandeep M. D'souza, Ragunathan (Raj) Rajkumar



PDF
Thumbnail PDF

File

LIPIcs.ECRTS.2017.21.pdf
  • Filesize: 1.3 MB
  • 23 pages

Document Identifiers

Author Details

Sandeep M. D'souza
Ragunathan (Raj) Rajkumar

Cite AsGet BibTex

Sandeep M. D'souza and Ragunathan (Raj) Rajkumar. Thermal Implications of Energy-Saving Schedulers. In 29th Euromicro Conference on Real-Time Systems (ECRTS 2017). Leibniz International Proceedings in Informatics (LIPIcs), Volume 76, pp. 21:1-21:23, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2017)
https://doi.org/10.4230/LIPIcs.ECRTS.2017.21

Abstract

In many real-time systems, continuous operation can raise processor temperature, potentially leading to system failure, bodily harm to users, or a reduction in the functional lifetime of a system. Static power dominates the total power consumption, and is also directly proportional to the operating temperature. This reduces the effectiveness of frequency scaling and necessitates the use of sleep states. In this work, we explore the relationship between energy savings and system temperature in the context of fixed-priority energy-saving schedulers, which utilize a processor’s deep-sleep state to save energy. We derive insights from a well-known thermal model, and are able to identify proactive design choices which are independent of system constants and can be used to reduce processor temperature. Our observations indicate that, while energy savings are key to lower temperatures, not all energy-efficient solutions yield low temperatures. Based on these insights, we propose the SysSleep and ThermoSleep algorithms, which enable a thermally-effective sleep schedule. We also derive a lower bound on the optimal temperature achievable by energy-saving schedulers. Additionally, we discuss partitioning and task phasing techniques for multi-core processors, which require all cores to synchronously transition into deep sleep, as well as those which support independent deep-sleep transitions. We observe that, while energy optimization is straightforward in some cases, the dependence of temperature on partitioning and task phasing makes temperature minimization non-trivial. Evaluations show that compared to the existing purely energy-efficient design methodology, our proposed techniques yield lower temperatures along with significant energy savings.
Keywords
  • Thermal Analysis
  • Real-Time Scheduling

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. Intel Core Processor Family (4th Generation). [online]: URL: http://www.intel.com/content/dam/www/public/us/en/documents/datasheets/4th-gen-core-family-desktop-vol-1-datasheet.pdf.
  2. Samsung Exynos 5800. [online]: URL: http://www.samsung.com/semiconductor/minisite/Exynos/w/solution/mobile_ap/5420/.
  3. K. Agarwal, K. Nowka, H. Deogun, and D. Sylvester. Power gating with multiple sleep modes. In International Symposium on Quality Electronic Design, pages 633-637, 2006. URL: http://dx.doi.org/10.1109/ISQED.2006.102.
  4. M. Ahmed, N. Fisher, S. Wang, and P. Hettiarachchi. Minimizing peak temperature in embedded real-time systems via thermal-aware periodic resources. Sustainable Computing: Informatics and Systems, 1(3):226-240, 2011. URL: http://dx.doi.org/doi.org/10.1016/j.suscom.2011.05.006.
  5. R. Ahmed, P. Ramanathan, and K. K. Saluja. On thermal utilization of periodic task sets in uni-processor systems. In International Conference on Embedded and Real-Time Computing Systems and Applications, pages 267-276, 2013. URL: http://dx.doi.org/10.1109/RTCSA.2013.6732227.
  6. N. Audsley, A. Burns, M. Richardson, K. Tindell, and A. J. Wellings. Applying new scheduling theory to static priority pre-emptive scheduling. Software Engineering Journal, 8:284-292, 1993. URL: http://dx.doi.org/10.1.1.132.2794.
  7. T. E. Carlson, W. Heirman, S. Eyerman, I. Hur, and L. Eeckhout. An evaluation of high-level mechanistic core models. ACM Trans. Archit. Code Optim., 11(3):28:1-28:25, 2014. URL: http://dx.doi.org/10.1145/2629677.
  8. Y. Chandarli, N. Fisher, and D. Masson. Response time analysis for thermal-aware real-time systems under fixed-priority scheduling. In IEEE International Symposium on Real-Time Distributed Computing, pages 84-93, 2015. URL: http://dx.doi.org/10.1109/ISORC.2015.34.
  9. T. Chantem, R. P. Dick, and X. S. Hu. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs. In Design, Automation and Test in Europe, pages 288-293, 2008. URL: http://dx.doi.org/10.1109/DATE.2008.4484694.
  10. J. J. Chen, S. Wang, and L. Thiele. Proactive speed scheduling for real-time tasks under thermal constraints. In IEEE Real-Time and Embedded Technology and Applications Symposium, pages 141-150, 2009. URL: http://dx.doi.org/10.1109/RTAS.2009.30.
  11. A. K. Coskun, T. S. Rosing, and K. Whisnant. Temperature aware task scheduling in mpsocs. In Design, Automation Test in Europe Conference Exhibition, pages 1-6, 2007. URL: http://dx.doi.org/10.1109/DATE.2007.364540.
  12. S. D’souza, A. Bhat, and R. Rajkumar. Sleep scheduling for energy-savings in multi-core processors. In Euromicro Conference on Real-Time Systems, pages 226-236, 2016. URL: http://dx.doi.org/10.1109/ECRTS.2016.16.
  13. Intel Core2 Duo. [online]: URL: http://download.intel.com/design/processor/datashts/320390.pdf.
  14. P. Emberson, R. Stafford, and R. Davis. Techniques for the synthesis of multiprocessor tasksets. In International Workshop on Analysis Tools and Methodologies for Embedded and Real-Time Systems, pages 6-11, 2010. Google Scholar
  15. AMD Opteron Family. [online]: URL: http://support.amd.com/TechDocs/40036.pdf.
  16. N. Fisher, J. J. Chen, S. Wang, and L. Thiele. Thermal-aware global real-time scheduling on multicore systems. In IEEE Real-Time and Embedded Technology and Applications Symposium, pages 131-140, 2009. URL: http://dx.doi.org/10.1109/RTAS.2009.34.
  17. Y. Fu, N. Kottenstette, C. Lu, and X. D. Koutsoukos. Feedback thermal control of real-time systems on multicore processors. In ACM International Conference on Embedded Software, pages 113-122, 2012. URL: http://dx.doi.org/10.1145/2380356.2380379.
  18. M. R. Garey and D. S. Johnson. Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman &Co, 1990. URL: http://dx.doi.org/10.1137/1024022.
  19. M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown. Mibench: A free, commercially representative embedded benchmark suite. In IEEE International Workshop on Workload Characterization, pages 3-14, 2001. URL: http://dx.doi.org/10.1109/WWC.2001.15.
  20. P. M. Hettiarachchi, N. Fisher, M. Ahmed, L. Y. Wang, S. Wang, and W. Shi. The design and analysis of thermal-resilient hard-real-time systems. In IEEE Real Time and Embedded Technology and Applications Symposium, pages 67-76, 2012. URL: http://dx.doi.org/10.1109/RTAS.2012.17.
  21. R. M. Karp. Reducibility among combinatorial problems. In Complexity of Computer Computations: Symposium on the Complexity of Computer Computations, pages 85-103, 1972. URL: http://dx.doi.org/10.1007/978-1-4684-2001-2_9.
  22. N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J. S. Hu, M. J. Irwin, M. Kandemir, and V. Narayanan. Leakage current: Moore’s law meets static power. Computer, 36(12):68-75, 2003. URL: http://dx.doi.org/10.1109/MC.2003.1250885.
  23. T. Kuroda. Cmos design challenges to power wall. In International Microprocesses and Nanotechnology Conference, pages 6-7, 2001. URL: http://dx.doi.org/10.1109/IMNC.2001.984030.
  24. J. Lehoczky, L. Sha, and Y. Ding. The rate monotonic scheduling algorithm: exact characterization and average case behavior. In IEEE Real-Time Systems Symposium, pages 166-171, 1989. URL: http://dx.doi.org/10.1109/REAL.1989.63567.
  25. J. Y. T. Leung and J. Whitehead. On the complexity of fixed-priority scheduling of periodic, real-time tasks. Performance Evaluation, 2(4):237-250, 1982. URL: http://dx.doi.org/10.1016/0166-5316(82)90024-4.
  26. S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In IEEE/ACM International Symposium on Microarchitecture, pages 469-480, 2009. URL: http://dx.doi.org/10.1145/1669112.1669172.
  27. C. L. Liu and James W. Layland. Scheduling algorithms for multiprogramming in a hard-real-time environment. Journal of the ACM, 20(1):46-61, 1973. URL: http://dx.doi.org/10.1145/321738.321743.
  28. A. Rowe, K. Lakshmanan, H. Zhu, and R. Rajkumar. Rate-harmonized scheduling for saving energy. In IEEE Real-Time Systems Symposium, pages 113-122, 2008. URL: http://dx.doi.org/10.1109/RTSS.2008.50.
  29. S. Saewong and R. Rajkumar. Practical Voltage-Scaling for Fixed-Priority RT-Systems. In IEEE Real-Time and Embedded Technology and Applications Symposium, pages 106-115, 2003. URL: http://dx.doi.org/10.1.1.123.1440.
  30. L. Sha, R. Rajkumar, and J. P. Lehoczky. Priority inheritance protocols: An approach to real-time synchronization. IEEE Transactions on Computers, 39(9):1175-1185, 1990. URL: http://dx.doi.org/10.1109/12.57058.
  31. J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers. The impact of technology scaling on lifetime reliability. In International Conference on Dependable Systems and Networks, pages 177-186, 2004. URL: http://dx.doi.org/10.1109/DSN.2004.1311888.
  32. R. Viswanath, V. Wakharkar, A. Watwe, and V. Lebonheur. Thermal performance challenges from silicon to systems. Intel Corp. Manufacturing Group, 2000. URL: http://dx.doi.org/10.1.1.14.8322.
  33. S. Wang, J. J. Chen, Z. Shi, and L. Thiele. Energy-efficient speed scheduling for real-time tasks under thermal constraints. In IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, pages 201-209, 2009. URL: http://dx.doi.org/10.1109/RTCSA.2009.29.
  34. H. Wei, K. Lin, W. Lu, and W. Shih. Generalized rate monotonic schedulability bounds using relative period ratios. Information Processing Letters, 107(5):142-148, 2008. URL: http://dx.doi.org/10.1016/j.ipl.2008.02.006.
  35. F. Yao, A. Demers, and S. Shenker. A scheduling model for reduced cpu energy. In IEEE Annual Foundations of Computer Science, pages 374-382, 1995. URL: http://dx.doi.org/10.1109/SFCS.1995.492493.
  36. B. Yun, K. G. Shin, and S. Wang. Predicting thermal behavior for temperature management in time-critical multicore systems. In IEEE Real-Time and Embedded Technology and Applications Symposium, pages 185-194, 2013. URL: http://dx.doi.org/10.1109/RTAS.2013.6531091.
  37. R. Zhang, M. R. Stan, and K. Skadron. Hotspot 6.0: Validation, acceleration and extension. University of Virginia, CS-2015-04. Google Scholar
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail