Worst-case Stall Analysis for Multicore Architectures with Two Memory Controllers

Authors Muhammad Ali Awan , Pedro F. Souto , Konstantinos Bletsas , Benny Akesson , Eduardo Tovar



PDF
Thumbnail PDF

File

LIPIcs.ECRTS.2018.2.pdf
  • Filesize: 0.64 MB
  • 22 pages

Document Identifiers

Author Details

Muhammad Ali Awan
  • CISTER Research Centre and ISEP, Porto, Portugal
Pedro F. Souto
  • University of Porto, Faculty of Engineering and CISTER Research Centre, Porto, Portugal
Konstantinos Bletsas
  • CISTER Research Centre and ISEP, Porto, Portugal
Benny Akesson
  • Embedded Systems Innovation, Eindhoven, the Netherlands
Eduardo Tovar
  • CISTER Research Centre and ISEP, Porto, Portugal

Cite AsGet BibTex

Muhammad Ali Awan, Pedro F. Souto, Konstantinos Bletsas, Benny Akesson, and Eduardo Tovar. Worst-case Stall Analysis for Multicore Architectures with Two Memory Controllers. In 30th Euromicro Conference on Real-Time Systems (ECRTS 2018). Leibniz International Proceedings in Informatics (LIPIcs), Volume 106, pp. 2:1-2:22, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2018)
https://doi.org/10.4230/LIPIcs.ECRTS.2018.2

Abstract

In multicore architectures, there is potential for contention between cores when accessing shared resources, such as system memory. Such contention scenarios are challenging to accurately analyse, from a worst-case timing perspective. One way of making memory contention in multicores more amenable to timing analysis is the use of memory regulation mechanisms. It restricts the number of accesses performed by any given core over time by using periodically replenished per-core budgets. Typically, this assumes that all cores access memory via a single shared memory controller. However, ever-increasing bandwidth requirements have brought about architectures with multiple memory controllers. These control accesses to different memory regions and are potentially shared among all cores. While this presents an opportunity to satisfy bandwidth requirements, existing analysis designed for a single memory controller are no longer safe. This work formulates a worst-case memory stall analysis for a memory-regulated multicore with two memory controllers. This stall analysis can be integrated into the schedulability analysis of systems under fixed-priority partitioned scheduling. Five heuristics for assigning tasks and memory budgets to cores in a stall-cognisant manner are also proposed. We experimentally quantify the cost in terms of extra stall for letting all cores benefit from the memory space offered by both controllers, and also evaluate the five heuristics for different system characteristics.

Subject Classification

ACM Subject Classification
  • Computer systems organization → Real-time systems
  • Computer systems organization → Real-time operating systems
  • Computer systems organization → Real-time system architecture
Keywords
  • multiple memory controllers
  • memory regulation
  • multicore

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. N. C. Audsley. On priority asignment in fixed priority scheduling. Information Processing Letters, 79(1):39-44, 2001. Google Scholar
  2. M. A. Awan, K. Bletsas, P. F. Souto, and E. Tovar. Semi-partitioned mixed-criticality scheduling. In Proceedings of the 30th International Conference on the Architecture of Computing Systems (ARCS 2017), pages 205-218, 2017. URL: http://dx.doi.org/10.1007/978-3-319-54999-6_16.
  3. Muhammad Ali Awan, Pedro Souto, Konstantinos Bletsas, Benny Akesson, and Eduardo Tovar. Mixed-criticality scheduling with memory bandwidth regulation. In Proceedings of the 55th IEEE/ACM Conference on Design Automation and Test in Europe (DATE 2018), March 2018. Google Scholar
  4. A. Bastoni, B. B. Brandenburg, and J. H. Anderson. Cache-related preemption and migration delays: Empirical approximation and impact on schedulability. Proceedings of the OSPERT, pages 33-44, 2010. Google Scholar
  5. M. Behnam, R. Inam, T. Nolte, and M. Sjödin. Multi-core composability in the face of memory-bus contention. ACM SIGBED Review, 10(3):35-42, 2013. URL: http://dx.doi.org/10.1145/2544350.2544354.
  6. E. Bini and G. C. Buttazzo. Measuring the performance of schedulability tests. Journal of Real-Time Systems, 30(1-2):129-154, 2005. URL: http://dx.doi.org/10.1007/s11241-005-0507-9.
  7. A. Burns and R. I. Davis. Adaptive mixed criticality scheduling with deferred preemption. In Proceedings of the 35th IEEE Real-Time Systems Symposium (RTSS 2014), pages 21-30, Dec 2014. URL: http://dx.doi.org/10.1109/RTSS.2014.12.
  8. D. Dasari, B. Akesson, V. Nélis, M. A. Awan, and S. M. Petters. Identifying the sources of unpredictability in cots-based multicore systems. In Proceedings of the 8th IEEE International Symposium on Industrial Embedded Systems (SIES 2013), pages 39-48, June 2013. Google Scholar
  9. R. I. Davis and A. Burns. Priority assignment for global fixed priority pre-emptive scheduling in multiprocessor real-time systems. In Proceedings of the 30th IEEE Real-Time Systems Symposium (RTSS 2009), pages 398-409, Dec 2009. URL: http://dx.doi.org/10.1109/RTSS.2009.31.
  10. J. Flodin, K. Lampka, and W. Yi. Dynamic budgeting for settling dram contention of co-running hard and soft real-time tasks. In Proceedings of the 9th IEEE International Symposium on Industrial Embedded Systems (SIES 2014), pages 151-159, June 2014. URL: http://dx.doi.org/10.1109/SIES.2014.6871199.
  11. Rafia Inam, Nesredin Mahmud, Moris Behnam, Thomas Nolte, and Mikael Sjodin. Multi-core composability in the face of memory-bus contention. In Proceedings of the 20th IEEE Real-Time Technology and Applications Symposium (RTAS 2014), 2014. Google Scholar
  12. Raj Jain. The art of computer systems performance analysis - techniques for experimental design, measurement, simulation, and modeling. Wiley professional computing. Wiley, 1991. Google Scholar
  13. R. Mancuso, R. Pellizzoni, M. Caccamo, L. Sha, and H. Yun. WCET(m) estimation in multi-core systems using single core equivalence. In Proceedings of the 27th Euromicro Conference on Real-Time Systems (ECRTS 2015), pages 174-183, July 2015. URL: http://dx.doi.org/10.1109/ECRTS.2015.23.
  14. Renato Mancuso, Rodolfo Pellizzoni, Neriman Tokcan, and Marco Caccamo. WCET Derivation under Single Core Equivalence with Explicit Memory Budget Assignment. In Proceedings of the 29th Euromicro Conference on Real-Time Systems (ECRTS 2017), volume 76 of Leibniz International Proceedings in Informatics (LIPIcs), pages 3:1-3:23, Dagstuhl, Germany, 2017. Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik. Google Scholar
  15. J. Nowotsch, M. Paulitsch, D. Bühler, H. Theiling, S. Wegener, and M. Schmidt. Multi-core interference-sensitive WCET analysis leveraging runtime resource capacity enforcement. In Proceedings of the 26th Euromicro Conference on Real-Time Systems (ECRTS 2014), pages 109-118, 2014. URL: http://dx.doi.org/10.1109/ECRTS.2014.20.
  16. NXP. QorIQ Layerscape Processors Based on Arm Technology, 2018. URL: https://www.nxp.com/products/processors-and-microcontrollers/applications-processors/qoriq-platforms/p-series.
  17. R. Pellizzoni and H. Yun. Memory servers for multicore systems. In Proceedings of the 22nd IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2016), pages 97-108, April 2016. URL: http://dx.doi.org/10.1109/RTAS.2016.7461339.
  18. Lui Sha, Marco Caccamo, Renato Mancuso, Jung-Eun Kim, Man-Ki Yoon, Rodolfo Pellizzoni, Heechul Yun, Russel Kegley, Dennis Perlman, Greg Arundale, Bradford Richard, et al. Single core equivalent virtual machines for hard real—time computing on multicore processors. Technical report, Univ. of Illinois at Urbana Champaign, 2014. Google Scholar
  19. Paulo Baltarejo Sousa, Konstantinos Bletsas, Eduardo Tovar, Pedro Souto, and Benny Åkesson. Unified overhead-aware schedulability analysis for slot-based task-splitting. Journal of Real-Time Systems, 50(5-6):680-735, 2014. Google Scholar
  20. G. Yao, H. Yun, Z. P. Wu, R. Pellizzoni, M. Caccamo, and L. Sha. Schedulability analysis for memory bandwidth regulated multicore real-time systems. IEEE Transactions on Computers, 65(2):601-614, Feb 2016. Google Scholar
  21. H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha. Memory access control in multiprocessor for real-time systems with mixed criticality. In Proceedings of the 24th Euromicro Conference on Real-Time Systems (ECRTS 2012), pages 299-308, July 2012. URL: http://dx.doi.org/10.1109/ECRTS.2012.32.
  22. H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha. Memguard: Memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In Proceedings of the 19th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2013), pages 55-64, April 2013. URL: http://dx.doi.org/10.1109/RTAS.2013.6531079.
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail