High-Level Synthesis Developments in the Context of European Space Technology Research (Invited Talk)

Authors Fabrizio Ferrandi , Michele Fiorito , Claudio Barone, Giovanni Gozzi , Serena Curzel



PDF
Thumbnail PDF

File

OASIcs.PARMA-DITAM.2024.1.pdf
  • Filesize: 0.65 MB
  • 12 pages

Document Identifiers

Author Details

Fabrizio Ferrandi
  • Politecnico di Milano, Italy
Michele Fiorito
  • Politecnico di Milano, Italy
Claudio Barone
  • Pacific Northwest National Laboratory, Richland, WA, USA
Giovanni Gozzi
  • Politecnico di Milano, Italy
Serena Curzel
  • Politecnico di Milano, Italy

Cite AsGet BibTex

Fabrizio Ferrandi, Michele Fiorito, Claudio Barone, Giovanni Gozzi, and Serena Curzel. High-Level Synthesis Developments in the Context of European Space Technology Research (Invited Talk). In 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2024). Open Access Series in Informatics (OASIcs), Volume 116, pp. 1:1-1:12, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2024)
https://doi.org/10.4230/OASIcs.PARMA-DITAM.2024.1

Abstract

European efforts to boost competitiveness in the space services sector promote the research and development of advanced software and hardware solutions. The EU-funded HERMES project contributes to the effort by qualifying radiation-hardened, high-performance programmable microprocessors and developing a software ecosystem that facilitates the deployment of complex applications on such platforms. The main objectives of the project include reaching a technology readiness level of 6 (i.e., validated and demonstrated in relevant environment) for the rad-hard NG-ULTRA FPGA with its ceramic hermetic package CGA 1752, developed within projects of the European Space Agency, French National Centre for Space Studies and the European Union. An equally important share of the project is dedicated to the development and validation of tools that support multicore software programming and FPGA acceleration. The HERMES project selected the Bambu High-Level Synthesis tool to integrate capabilities to translate C/C++ code into Verilog/VHDL in its development ecosystem. In HERMES, Bambu has been and will be extended to support new FPGA targets, architectural models, model-based design, and input applications. The increased performance offered by FPGAs is thus made available also to software developers who do not have hardware design expertise.

Subject Classification

ACM Subject Classification
  • Hardware → Methodologies for EDA
  • Hardware → High-level and register-transfer level synthesis
  • Computer systems organization → Architectures
  • Hardware → Very large scale integration design
  • Hardware → Reconfigurable logic and FPGAs
Keywords
  • High-Level Synthesis
  • rad-hard FPGAs

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. Nicolas Bohm Agostini, Serena Curzel, Ankur Limaye, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, Antonino Tumeo, and Fabrizio Ferrandi. The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited. In Rob Oshana, editor, DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022, pages 1359-1362. ACM, 2022. Google Scholar
  2. Florent de Dinechin et al. Designing custom arithmetic data paths with FloPoCo. IEEE Design & Test of Computers, 28(4):18-27, July 2011. Google Scholar
  3. F. Ferrandi, V. G. Castellana, S. Curzel, P. Fezzardi, M. Fiorito, M. Lattuada, M. Minutoli, C. Pilato, and A. Tumeo. Bambu: an open-source research framework for the high-level synthesis of complex applications. In Proceedings of the 58th ACM/IEEE Design Automation Conference (DAC), pages 1327-1330, 2021. Google Scholar
  4. Michele Fiorito, Serena Curzel, and Fabrizio Ferrandi. Truefloat: A templatized arithmetic library for hls floating-point operators. In Embedded Computer Systems: Architectures, Modeling, and Simulation, pages 486-493, 2023. Google Scholar
  5. Chris Lattner, Mehdi Amini, Uday Bondhugula, Albert Cohen, Andy Davis, Jacques Pienaar, River Riddle, Tatiana Shpeisman, Nicolas Vasilache, and Oleksandr Zinenko. MLIR: Scaling Compiler Infrastructure for Domain Specific Computation. In IEEE/ACM International Symposium on Code Generation and Optimization (CGO), pages 2-14, 2021. Google Scholar
  6. Marco Lattuada and Fabrizio Ferrandi. Code transformations based on speculative SDC scheduling. In IEEE/ACM International Conference on Computer-Aided Design, ICCAD '15, pages 71-77, November 2015. Google Scholar
  7. M. Minutoli et al. Inter-procedural resource sharing in high level synthesis through function proxies. In International Conference on Field Programmable Logic and Applications, FPL, pages 1-8, September 2015. Google Scholar
  8. STMicroelectronics (FR) NanoXplore (FR). High Density European Rad-Hard SRAM-Based FPGA - First Validated Prototypes – BRAVE, 2017. URL: https://www.esa.int/Enabling_Support/Space_Engineering_Technology/Shaping_the_Future/High_Density_European_Rad-Hard_SRAM-Based_FPGA-_First_Validated_Prototypes_BRAVE.
  9. Brandon Reagen, Robert Adolf, Yakun Sophia Shao, Gu-Yeon Wei, and David Brooks. Machsuite: Benchmarks for accelerator design and customized architectures. In 2014 IEEE International Symposium on Workload Characterization (IISWC), pages 110-119. IEEE, 2014. Google Scholar
  10. João V. Roque, João D. Lopes, Mário P. Véstias, and José T. de Sousa. Iob-cache: A high-performance configurable open-source cache. Algorithms, 14(8), 2021. Google Scholar
  11. Leon Stok. Data path synthesis. Integration, 18(1):1-71, 1994. Google Scholar
  12. supported by H2020 under grant agreement n. 101004203. Qualification of High-pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem (HERMES), 2021. URL: https://dahlia-h2020.eu/.
  13. supported by H2020 under grant agreement n. 687220. Validation of European high capacity rad-hard FPGA and software tools (VEGAS), 2016. URL: http://vegas.us.es/.
  14. supported by H2020 under grant agreement n. 730011. Space Qualification and Validation of High Performance European Rad-Hard FPGA (OPERA), 2017. URL: https://dahlia-h2020.eu/.
  15. supported by H2020 under grant agreement n. 821969. Space Qualification and Validation of High Performance European Rad-Hard FPGA (OPERA), 2019. URL: https://operahorizon2020.eu/.
  16. TensorFlow tutorial. Post-training integer quantization, 2023. URL: https://www.tensorflow.org/lite/performance/post_training_integer_quant.
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail