Simultaneous Multithreading Applied to Real Time

Authors Sims Hill Osborne, Joshua J. Bakita, James H. Anderson



PDF
Thumbnail PDF

File

LIPIcs.ECRTS.2019.3.pdf
  • Filesize: 1.11 MB
  • 22 pages

Document Identifiers

Author Details

Sims Hill Osborne
  • University of North Carolina, Chapel Hill, North Carolina, USA
Joshua J. Bakita
  • University of North Carolina, Chapel Hill, North Carolina, USA
James H. Anderson
  • University of North Carolina, Chapel Hill, North Carolina, USA

Cite AsGet BibTex

Sims Hill Osborne, Joshua J. Bakita, and James H. Anderson. Simultaneous Multithreading Applied to Real Time. In 31st Euromicro Conference on Real-Time Systems (ECRTS 2019). Leibniz International Proceedings in Informatics (LIPIcs), Volume 133, pp. 3:1-3:22, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2019)
https://doi.org/10.4230/LIPIcs.ECRTS.2019.3

Abstract

Existing models used in real-time scheduling are inadequate to take advantage of simultaneous multithreading (SMT), which has been shown to improve performance in many areas of computing, but has seen little application to real-time systems. The SMART task model, which allows for combining SMT and real time by accounting for the variable task execution costs caused by SMT, is introduced, along with methods and conditions for scheduling SMT tasks under global earliest-deadline-first scheduling. The benefits of using SMT are demonstrated through a large-scale schedulability study in which we show that task systems with utilizations 30% larger than what would be schedulable without SMT can be correctly scheduled.

Subject Classification

ACM Subject Classification
  • Computer systems organization → Real-time systems
  • Computer systems organization → Real-time system specification
  • Software and its engineering → Scheduling
  • Software and its engineering → Multithreading
Keywords
  • real-time systems
  • simultaneous multithreading
  • soft real-time
  • scheduling algorithms

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. J. Bulpin. Operating system support for simultaneous multithreaded processors. PhD thesis, University of Cambridge, King’s College, 2005. URL: http://www.cl.com.ac.uk/TechReports/.
  2. J. Bulpin and I. Pratt. Multiprogramming Performance of the Pentium 4 with Hyperthreading. In Third Annual Workshop on Duplicating, Deconstruction and Debunking, pages 53-62, June 2004. Google Scholar
  3. F. J. Cazorla, P. M. W. Knijnenburg, R. Sakellariou, E. Fernandez, A. Ramirez, and M. Valero. Predictable performance in SMT processors: synergy between the OS and SMTs. IEEE Transactions on Computers, 55(7):785-799, July 2006. URL: http://dx.doi.org/10.1109/TC.2006.108.
  4. U. M. C. Devi and J. H. Anderson. Tardiness bounds under global EDF scheduling on a multiprocessor. In RTSS'05, pages 330-341, December 2005. URL: http://dx.doi.org/10.1109/RTSS.2005.39.
  5. U. M. C. Devi and J. H. Anderson. Flexible tardiness bounds for sporadic real-time task systems on multiprocessors. In 20th IEEE International Parallel Distributed Processing Symposium, pages 10 pp.-, April 2006. URL: http://dx.doi.org/10.1109/IPDPS.2006.1639265.
  6. S. J. Eggers, J. S. Emer, H. M. Levy, J. L. Lo, R. L. Stamm, and D. M. Tullsen. Simultaneous multithreading: a platform for next-generation processors. IEEE Micro, 17(5):12-19, September 1997. URL: http://dx.doi.org/10.1109/40.621209.
  7. S. Eyerman and L. Eeckhout. The Benefit of SMT in the Multi-core Era: Flexibility Towards Degrees of Thread-level Parallelism. In Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS '14, pages 591-606, New York, NY, USA, 2014. ACM. URL: http://dx.doi.org/10.1145/2541940.2541954.
  8. S. Eyerman, P. Michaud, and W. Rogiest. Revisiting symbiotic job scheduling. In 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 124-134, March 2015. URL: http://dx.doi.org/10.1109/ISPASS.2015.7095791.
  9. H. Falk, S. Altmeyer, P. Hellinckx, B. Lisper, W. Puffitsch, C. Rochange, M. Schoeberl, R. B. Sorensen, P. Wagemann, and S. Wegener. TACLeBench: A Benchmark Collection to Support Worst-Case Execution Time Research. In Martin Schoeberl, editor, 16th International Workshop on Worst-Case Execution Time Analysis (WCET 2016), volume 55 of OpenAccess Series in Informatics (OASIcs), pages 2:1-2:10, Dagstuhl, Germany, 2016. Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik. URL: http://dx.doi.org/10.4230/OASIcs.WCET.2016.2.
  10. J. Feliu, J. Sahuquillo, S. Petit, and J. Duato. Perf Fair: A Progress-Aware Scheduler to Enhance Performance and Fairness in SMT Multicores. IEEE Transactions on Computers, 66(5):905-911, May 2017. URL: http://dx.doi.org/10.1109/TC.2016.2620977.
  11. A. Fog. The Microarchitecture of Intel, AMD, and VIA CPUs: an optimization guide for assembly programmers and compiler makers. Technical University of Denmark, 2018. URL: https://www.agner.org/optimize/microarchitecture.pdf.
  12. T. Gomes, P. Garcia, S. Pinto, J. Monteiro, and A. Tavares. Bringing Hardware Multithreading to the Real-Time Domain. IEEE Embedded Systems Letters, 8(1):2-5, March 2016. URL: http://dx.doi.org/10.1109/LES.2015.2486384.
  13. T. Gomes, S. Pinto, P. Garcia, and A. Tavares. RT-SHADOWS: Real-time system hardware for agnostic and deterministic OSes within softcore. In ETFA '15, pages 1-4, September 2014. URL: http://dx.doi.org/10.1109/ETFA.2015.7301572.
  14. W. Huang, J. Lin, Z. Zhang, and J.M. Chang. Performance Characterization of Java Applications on SMT Processors. In ISPASS '05., pages 102-111, March 2005. URL: http://dx.doi.org/10.1109/ISPASS.2005.1430565.
  15. R. Jain, C. J. Hughes, and S. V. Adve. Soft real-time scheduling on simultaneous multithreaded processors. In RTSS '02, pages 134-145. Institute of Electrical and Electronics Engineers Inc., 2002. URL: http://dx.doi.org/10.1109/REAL.2002.1181569.
  16. H. Leontyev and J. H. Anderson. Generalized tardiness bounds for global multiprocessor scheduling. Real-Time Systems, 44(1):26-71, March 2010. URL: http://dx.doi.org/10.1007/s11241-009-9089-2.
  17. S. Lo, K. Lam, and T. Kuo. Real-time task scheduling for SMT systems. In RTCSA'05, pages 5-10, August 2005. URL: http://dx.doi.org/10.1109/RTCSA.2005.77.
  18. D. Marr, F. Binns, D. Hill, G. Hinton, K. Koufaty, J. Miller, and M. Upton. Hyper-Threading Technology Architecture and Microarchitecture. In Intel Technology Journal, volume 6, pages 4-15, February 2002. Google Scholar
  19. A. F. Mills and J. H. Anderson. A Stochastic Framework for Multiprocessor Soft Real-Time Scheduling. In RTAS '10, pages 311-320, April 2010. URL: http://dx.doi.org/10.1109/RTAS.2010.33.
  20. J. Mische, S. Uhrig, F. Kluge, and T. Ungerer. Using SMT to Hide Context Switch Times of Large Real-Time Tasksets. In RTAS '10, pages 255-264, August 2010. URL: http://dx.doi.org/10.1109/RTCSA.2010.33.
  21. B. Ocker. FAA special topics. In Collaborative Workshop: Solutions for Certification of Multicore Processors, November 2018. Google Scholar
  22. S. Osborne and J. H. Anderson. Work in Progress: Combining Real Time and Multithreading. In 2018 IEEE Real-Time Systems Symposium (RTSS), pages 139-142, December 2018. URL: http://dx.doi.org/10.1109/RTSS.2018.00024.
  23. P. Radojković, P. M. Carpenter, M. Moretó, V. Čakarević, J. Verdú, A. Pajuelo, F. J. Cazorla, M. Nemirovsky, and M. Valero. Thread Assignment in Multicore/Multithreaded Processors: A Statistical Approach. IEEE Transactions on Computers, 65(1):256-269, January 2016. URL: http://dx.doi.org/10.1109/TC.2015.2417533.
  24. A. Snavely and D. M. Tullsen. Symbiotic Jobscheduling for a Simultaneous Multithreaded Processor. In ASPLOS '2000, ASPLOS IX, pages 234-244, New York, NY, USA, 2000. ACM. URL: http://dx.doi.org/10.1145/378993.379244.
  25. N. Tuck and D. M. Tullsen. Initial Observations of the Simultaneous Multithreading Pentium 4 Processor. In PACT '03, PACT '03, pages 26-35, Washington, DC, USA, 2003. IEEE Computer Society. URL: http://dl.acm.org/citation.cfm?id=942806.943857.
  26. D. M. Tullsen, S. J. Eggers, and H. M. Levy. Simultaneous multithreading: Maximizing on-chip parallelism. In ISCA '95, pages 392-403, 1995. Google Scholar
  27. S. Voronov, J. H. Anderson, and K. Yang. Tardiness Bounds for Fixed-Priority Global Scheduling Without Intra-Task Precedence Constraints. In RTNS '18, RTNS '18, pages 8-18, New York, NY, USA, 2018. ACM. URL: http://dx.doi.org/10.1145/3273905.3273913.
  28. M. Zimmer, D. Broman, C. Shaver, and E. A. Lee. FlexPRET: A processor platform for mixed-criticality systems. In RTAS '14, pages 101-110, April 2014. URL: http://dx.doi.org/10.1109/RTAS.2014.6925994.
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail