Optimal Layout Synthesis for Deep Quantum Circuits on NISQ Processors with 100+ Qubits

Authors Irfansha Shaik , Jaco van de Pol



PDF
Thumbnail PDF

File

LIPIcs.SAT.2024.26.pdf
  • Filesize: 0.75 MB
  • 18 pages

Document Identifiers

Author Details

Irfansha Shaik
  • Department of Computer Science, Aarhus University, Denmark
  • Kvantify Aps, Copenhagen S, Denmark
Jaco van de Pol
  • Department of Computer Science, Aarhus University, Denmark

Cite AsGet BibTex

Irfansha Shaik and Jaco van de Pol. Optimal Layout Synthesis for Deep Quantum Circuits on NISQ Processors with 100+ Qubits. In 27th International Conference on Theory and Applications of Satisfiability Testing (SAT 2024). Leibniz International Proceedings in Informatics (LIPIcs), Volume 305, pp. 26:1-26:18, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2024)
https://doi.org/10.4230/LIPIcs.SAT.2024.26

Abstract

Layout synthesis is mapping a quantum circuit to a quantum processor. SWAP gate insertions are needed for scheduling 2-qubit gates only on connected physical qubits. With the ever-increasing number of qubits in NISQ processors, scalable layout synthesis is of utmost importance. With large optimality gaps observed in heuristic approaches, scalable exact methods are needed. While recent exact and near-optimal approaches scale to moderate circuits, large deep circuits are still out of scope. In this work, we propose a SAT encoding based on parallel plans that apply 1 SWAP and a group of CNOTs at each time step. Using domain-specific information, we maintain optimality in parallel plans while scaling to large and deep circuits. From our results, we show the scalability of our approach which significantly outperforms leading exact and near-optimal approaches (up to 100x). For the first time, we can optimally map several 8, 14, and 16 qubit circuits onto 54, 80, and 127 qubit platforms with up to 17 SWAPs. While adding optimal SWAPs, we also report near-optimal depth in our mapped circuits.

Subject Classification

ACM Subject Classification
  • Hardware → Quantum computation
  • Computing methodologies → Planning for deterministic actions
Keywords
  • Layout Synthesis
  • Transpiling
  • Qubit Mapping and Routing
  • Quantum Circuits
  • Propositional Satisfiability
  • Parallel Plans

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. Frank Arute et al. Quantum supremacy using a programmable superconducting processor. Nature, 574(7779):505-510, 2019. URL: https://doi.org/10.1038/s41586-019-1666-5.
  2. Armin Biere, Katalin Fazekas, Mathias Fleury, and Maximilian Heisinger. CaDiCaL, Kissat, Paracooba, Plingeling and Treengeling entering the SAT Competition 2020. In Proc. of SAT Competition 2020 - Solver and Benchmark Descriptions, volume B-2020-1, pages 51-53. University of Helsinki, 2020. URL: https://api.semanticscholar.org/CorpusID:220727106.
  3. Kyle E. C. Booth. Constraint programming models for depth-optimal qubit assignment and swap-based routing (short paper). In 29th International Conference on Principles and Practice of Constraint Programming, CP 2023, August 27-31, 2023, Toronto, Canada, volume 280 of LIPIcs, pages 43:1-43:10. Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 2023. URL: https://doi.org/10.4230/LIPICS.CP.2023.43.
  4. Sebastian Brandhofer, Ilia Polian, and Kevin Krsulich. Optimal qubit reuse for near-term quantum computers. In IEEE International Conference on Quantum Computing and Engineering, QCE 2023, Bellevue, WA, USA, September 17-22, 2023, pages 859-869. IEEE, 2023. URL: https://doi.org/10.1109/QCE57702.2023.00100.
  5. Lukas Burgholzer and Robert Wille. Advanced equivalence checking for quantum circuits. IEEE TCAD, 40(9):1810-1824, 2021. URL: https://doi.org/10.1109/tcad.2020.3032630.
  6. Jerry Chow, Oliver Dial, and Jay Gambetta. Ibm quantum breaks the 100-qubit processor barrier. IBM Research Blog, 2, 2021. URL: https://www.ibm.com/quantum/blog/127-qubit-quantum-processor-eagle.
  7. Andrew Cross, Ali Javadi-Abhari, Thomas Alexander, Lev Bishop, Colm A. Ryan, Steven Heidel, Niel de Beaudrap, John Smolin, Jay M. Gambetta, and Blake R. Johnson. Open quantum assembly language. ACM Transactions on Quantum Computing Journal, 2022. URL: https://www.amazon.science/publications/open-quantum-assembly-language.
  8. Leonardo Mendonça de Moura and Nikolaj S. Bjørner. Z3: an efficient SMT solver. In TACAS Proceedings, LNCS 4963, pages 337-340. Springer, 2008. URL: https://doi.org/10.1007/978-3-540-78800-3_24.
  9. Alexey gnatiev, Antonio Morgado, and Joao Marques-Silva. PySAT: A Python toolkit for prototyping with SAT oracles. In SAT, pages 428-437, 2018. URL: https://doi.org/10.1007/978-3-319-94144-8_26.
  10. Stefan Hillmich, Alwin Zulehner, and Robert Wille. Exploiting quantum teleportation in quantum circuit mapping. In ASPDAC '21, pages 792-797. ACM, 2021. URL: https://doi.org/10.1145/3394885.3431604.
  11. Toshinari Itoko, Rudy Raymond, Takashi Imamichi, and Atsushi Matsuo. Optimization of quantum circuit mapping using gate transformation and commutation. Integration, 70:43-50, 2020. URL: https://doi.org/10.1016/j.vlsi.2019.10.004.
  12. Toshinari Itoko, Rudy Raymond, Takashi Imamichi, Atsushi Matsuo, and Andrew W. Cross. Quantum circuit compilers using gate commutation rules. In ASPDAC, pages 191-196. ACM, 2019. URL: https://doi.org/10.1145/3287624.3287701.
  13. Henry A. Kautz, David A. McAllester, and Bart Selman. Encoding plans in propositional logic. In Proceedings of KR-96, pages 374-384, November 1996. URL: https://henrykautz.com/papers/plankr96.pdf.
  14. Henry A Kautz and Bart Selman. Planning as satisfiability. In ECAI, volume 92, pages 359-363, 1992. URL: http://www.cs.cornell.edu/selman/papers/pdf/92.ecai.satplan.pdf.
  15. Gushu Li, Yufei Ding, and Yuan Xie. Tackling the qubit mapping problem for NISQ-era quantum devices. In ASPLOS, pages 1001-1014. ACM, 2019. URL: https://doi.org/10.1145/3297858.3304023.
  16. Wan-Hsuan Lin, Jason Kimko, Bochen Tan, Nikolaj Bjørner, and Jason Cong. Scalable optimal layout synthesis for NISQ quantum processors. In DAC, 2023. URL: https://doi.org/10.1109/DAC56929.2023.10247760.
  17. Marco Majland, Patrick Ettenhuber, and Nikolaj Thomas Zinner. Fermionic adaptive sampling theory for variational quantum eigensolvers. Phys. Rev. A, 108:052422, November 2023. URL: https://doi.org/10.1103/PhysRevA.108.052422.
  18. Joao Marques-Silva, Ines Lynce, and Sharad Malik. Conflict-driven clause learning sat solvers. Handbook of Satisfiability, 336:133-182, 2021. URL: https://doi.org/10.3233/FAIA200987.
  19. Abtin Molavi, Amanda Xu, Martin Diges, Lauren Pick, Swamit Tannu, and Aws Albarghouthi. Qubit mapping and routing via MaxSAT. In MICRO, pages 1078-1091. IEEE, 2022. URL: https://doi.org/10.1109/MICRO56248.2022.00077.
  20. Yunseong Nam, Neil J. Ross, Yuan Su, Andrew M. Childs, and Dmitri Maslov. Automated optimization of large quantum circuits with continuous parameters. npj Quantum Information, 4(1), May 2018. URL: https://doi.org/10.1038/s41534-018-0072-4.
  21. Michael A. Nielsen and Isaac L. Chuang. Quantum circuits, pages 171-215. Cambridge University Press, 2010. URL: https://doi.org/10.1017/CBO9780511976667.008.
  22. Tom Peham, Lukas Burgholzer, and Robert Wille. On optimal subarchitectures for quantum circuit mapping. ACM Trans. on Quant. Computing, 2023. URL: https://doi.org/10.1145/3593594.
  23. Jussi Rintanen, Keijo Heljanko, and Ilkka Niemelä. Planning as satisfiability: parallel plans and algorithms for plan search. Artif. Intell., 170(12-13):1031-1080, 2006. URL: https://doi.org/10.1016/J.ARTINT.2006.08.002.
  24. Irfansha Shaik and Jaco van de Pol. Q-Synth. Software, version 2.0., IFD (Innovation Fund Denmark), swhId: https://archive.softwareheritage.org/swh:1:dir:be31c57364bd541c6b65afac80603e9004cf4008;origin=https://github.com/irfansha/Q-Synth;visit=swh:1:snp:f376849e93533f1d9039b7cd543ed56b6edcf01d;anchor=swh:1:rev:553d54c9942b73a0246328d42565caaaaac38117 (visited on 2024-07-31). URL: https://github.com/irfansha/Q-Synth.
  25. Irfansha Shaik and Jaco van de Pol. Q-Synth v2.0 release. Software, version 2.0., IFD (Innovation Fund Denmark), swhId: https://archive.softwareheritage.org/swh:1:dir:be31c57364bd541c6b65afac80603e9004cf4008;origin=https://github.com/irfansha/Q-Synth;visit=swh:1:snp:f376849e93533f1d9039b7cd543ed56b6edcf01d;anchor=swh:1:rev:553d54c9942b73a0246328d42565caaaaac38117 (visited on 2024-07-31). URL: https://github.com/irfansha/Q-Synth/releases/tag/Q-Synth-v2.0-SAT2024.
  26. Irfansha Shaik and Jaco van de Pol. Optimal layout synthesis for quantum circuits as classical planning. In IEEE/ACM International Conference on Computer Aided Design, ICCAD 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023, pages 1-9. IEEE, 2023. URL: https://doi.org/10.1109/ICCAD57390.2023.10323924.
  27. Amisha Srivastava, Chao Lu, Navnil Choudhury, Ayush Arunachalam, and Kanad Basu. Search space reduction for efficient quantum compilation. In Proceedings of GLSVLSI-23, pages 109-114. ACM, 2023. URL: https://doi.org/10.1145/3583781.3590223.
  28. Bochen Tan and Jason Cong. Optimal layout synthesis for quantum computing. In IEEE/ACM ICCAD, pages 137:1-137:9. IEEE, 2020. URL: https://doi.org/10.1145/3400302.3415620.
  29. Bochen Tan and Jason Cong. Optimality study of existing quantum computing layout synthesis tools. IEEE Trans. Computers, 70(9):1363-1373, 2021. URL: https://doi.org/10.1109/TC.2020.3009140.
  30. Davide Venturelli, Minh Do, Eleanor Rieffel, and Jeremy Frank. Temporal planning for compilation of quantum approximate optimization circuits. In Proceedings of IJCAI-17, pages 4440-4446, 2017. URL: https://doi.org/10.24963/ijcai.2017/620.
  31. Robert Wille, Lukas Burgholzer, and Alwin Zulehner. Mapping quantum circuits to IBM QX architectures using the minimal number of SWAP and H operations. In DAC-19, page 142. ACM, 2019. URL: https://doi.org/10.1145/3316781.3317859.
  32. Alwin Zulehner, Hartwig Bauer, and Robert Wille. Evaluating the flexibility of a* for mapping quantum circuits. In Reversible Computation - 11th International Conference, RC 2019, Lausanne, Switzerland, June 24-25, 2019, Proceedings, volume 11497 of Lecture Notes in Computer Science, pages 171-190. Springer, 2019. URL: https://doi.org/10.1007/978-3-030-21500-2_11.
  33. Alwin Zulehner, Alexandru Paler, and Robert Wille. An efficient methodology for mapping quantum circuits to the IBM QX architectures. IEEE TCAD ICS, 38(7):1226-1236, 2019. URL: https://doi.org/10.1109/TCAD.2018.2846658.
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail