Invited Paper: On the Granularity of Bandwidth Regulation in FPGA-Based Heterogeneous Systems on Chip

Authors Gianluca Brilli , Giacomo Valente , Alessandro Capotondi , Tania Di Mascio , Andrea Marongiu



PDF
Thumbnail PDF

File

OASIcs.WCET.2024.5.pdf
  • Filesize: 0.89 MB
  • 11 pages

Document Identifiers

Author Details

Gianluca Brilli
  • University of Modena and Reggio Emilia, Italy
Giacomo Valente
  • University of L'Aquila, Italy
Alessandro Capotondi
  • University of Modena and Reggio Emilia, Italy
Tania Di Mascio
  • University of L'Aquila, Italy
Andrea Marongiu
  • University of Modena and Reggio Emilia, Italy

Cite AsGet BibTex

Gianluca Brilli, Giacomo Valente, Alessandro Capotondi, Tania Di Mascio, and Andrea Marongiu. Invited Paper: On the Granularity of Bandwidth Regulation in FPGA-Based Heterogeneous Systems on Chip. In 22nd International Workshop on Worst-Case Execution Time Analysis (WCET 2024). Open Access Series in Informatics (OASIcs), Volume 121, pp. 5:1-5:11, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2024)
https://doi.org/10.4230/OASIcs.WCET.2024.5

Abstract

Main memory sharing in commercial, FPGA-based Heterogeneous System on Chips (HeSoCs) can cause significant interference, and ultimately severe slowdown of the executing workload, which bars the adoption of such systems in the context of time-critical applications. Bandwidth regulation approaches based on monitoring and throttling are widely adopted also in commercial hardware to improve the system quality of service (QoS), and previous work has shown that the finer the granularity of the mechanism, the more effective the QoS control. Different mechanisms, however, might exploit more or less effectively the available residual memory bandwidth, provided that the QoS requirement is satisfied. In this paper we present an exhaustive experimental evaluation of how three bandwidth regulation mechanisms with coarse, fine and ultra-fine granularity compare in terms of exploitation of the system memory bandwidth. Our results show that a very fine-grained regulation mechanism might experience worse system-level memory bandwidth exploitation compared to a coarser-grained approach.

Subject Classification

ACM Subject Classification
  • Computer systems organization → Real-time system architecture
Keywords
  • Bandwidth Regulation
  • System-on-Chip
  • FPGA

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. ARM. CoreLink QoS-400 network interconnect advanced quality of service, 2016. Accessed: November 5th, 2024. URL: https://developer.arm.com/documentation/dsu0026/latest/.
  2. Gianluca Bellocchi, Alessandro Capotondi, Francesco Conti, and Andrea Marongiu. A RISC-V-based FPGA Overlay to Simplify Embedded Accelerator Deployment. In 24th Euromicro Conf. on Digital System Design, pages 9-17, 2021. URL: https://doi.org/10.1109/DSD53832.2021.00011.
  3. G. Brilli, G. Valente, A. Capotondi, P. Burgio, T. Di Masciov, P. Valente, and A. Marongiu. Fine-grained qos control via tightly-coupled bandwidth monitoring and regulation for fpga-based heterogeneous socs. In 2023 60th ACM/IEEE Design Automation Conference (DAC), pages 1-6, 2023. URL: https://doi.org/10.1109/DAC56929.2023.10247840.
  4. Gianluca Brilli, Alessandro Capotondi, Paolo Burgio, and Andrea Marongiu. Understanding and Mitigating Memory Interference in FPGA-based HeSoCs. In 2022 Design, Automation Test in Europe Conference Exhibition (DATE), pages 1335-1340, 2022. URL: https://doi.org/10.23919/DATE54114.2022.9774768.
  5. N. Capodieci, R. Cavicchioli, I. S. Olmedo, M. Solieri, and M. Bertogna. Contending memory in heterogeneous SoCs: Evolution in NVIDIA Tegra embedded platforms. In 2020 IEEE 26th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), pages 1-10, 2020. URL: https://doi.org/10.1109/RTCSA50079.2020.9203722.
  6. CAST. Position Paper CAST-32A Multi-core Processors, 2016. Accessed: November 21st, 2021. URL: https://www.faa.gov/aircraft/air_cert/design_approvals/air_software/cast/media/cast-32A.pdf.
  7. Roberto Cavicchioli, Nicola Capodieci, Marco Solieri, Marko Bertogna, Paolo Valente, and Andrea Marongiu. Evaluating Controlled Memory Request Injection to Counter PREM Memory Underutilization. In Workshop on Job Scheduling Strategies for Parallel Processing, page 85. Springer, 2020. Google Scholar
  8. Farzad Farshchi, Qijing Huang, and Heechul Yun. BRU: Bandwidth Regulation Unit for Real-Time Multicore Processors. In 2020 IEEE Real-Time and Emb. Tech. and Applications Symposium (RTAS), pages 364-375, 2020. URL: https://doi.org/10.1109/RTAS48715.2020.00011.
  9. Sergio Garcia-Esteban, Alejandro Serrano-Cases, Jaume Abella, Enrico Mezzetti, and Francisco J. Cazorla. Quasi Isolation QoS Setups to Control MPSoC Contention in Integrated Software Architectures. In Alessandro V. Papadopoulos, editor, 35th Euromicro Conference on Real-Time Systems (ECRTS 2023), volume 262 of Leibniz International Proceedings in Informatics (LIPIcs), pages 5:1-5:25, Dagstuhl, Germany, 2023. Schloss Dagstuhl - Leibniz-Zentrum für Informatik. URL: https://doi.org/10.4230/LIPIcs.ECRTS.2023.5.
  10. Xiaoyi Ling, Takahiro Notsu, and Jason Anderson. An Open-Source Framework for the Generation of RISC-V Processor + CGRA Accelerator Systems. In 2021 24th Euromicro Conference on Digital System Design (DSD), pages 35-42, 2021. URL: https://doi.org/10.1109/DSD53832.2021.00015.
  11. Paolo Mantovani, Davide Giri, Giuseppe Di Guglielmo, Luca Piccolboni, Joseph Zuckerman, Emilio G. Cota, Michele Petracca, Christian Pilato, and Luca P. Carloni. Agile SoC Development with Open ESP: Invited Paper. In 2020 IEEE/ACM Inter. Conf. On Computer Aided Design (ICCAD), pages 1-9, 2020. Google Scholar
  12. Maxim Mattheeuws, Björn Forsberg, Andreas Kurth, and Luca Benini. Analyzing Memory Interference of FPGA Accelerators on Multicore Hosts in Heterogeneous Reconfigurable SoCs. In 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), pages 1152-1155. IEEE, 2021. Google Scholar
  13. Hossein Omidian, Nick Ivanov, and Guy G.F. Lemieux. An Accelerated OpenVX Overlay for Pure Software Programmers. In 2018 International Conference on Field-Programmable Technology (FPT), pages 290-293, 2018. URL: https://doi.org/10.1109/FPT.2018.00056.
  14. Andrea Pellegrini. Arm Neoverse N2: Arm’s 2 nd generation high performance infrastructure CPUs and system IPs. In 2021 IEEE Hot Chips 33 Symposium (HCS), pages 1-27. IEEE, 2021. Google Scholar
  15. Francesco Restuccia, Alessandro Biondi, Mauro Marinoni, Giorgiomaria Cicero, and Giorgio Buttazzo. AXI HyperConnect: A Predictable, Hypervisor-level Interconnect for Hardware Accelerators in FPGA SoC. In 2020 57th ACM/IEEE Design Automation Conference (DAC), pages 1-6, 2020. URL: https://doi.org/10.1109/DAC18072.2020.9218652.
  16. Alejandro Serrano-Cases, Juan M Reina, Jaume Abella, Enrico Mezzetti, and Francisco J Cazorla. Leveraging hardware QoS to control contention in the Xilinx Zynq UltraScale+ MPSoC. In 33rd Euromicro Conference on Real-Time Systems (ECRTS 2021). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 2021. Google Scholar
  17. Parul Sohal, Rohan Tabish, Ulrich Drepper, and Renato Mancuso. E-WarP: A System-wide Framework for Memory Bandwidth Profiling and Management. In 2020 IEEE Real-Time Systems Symposium (RTSS), pages 345-357, 2020. URL: https://doi.org/10.1109/RTSS49844.2020.00039.
  18. The Ohio State University. PolyBench-ACC/C the Polyhedral Benchmark suite. https://github.com/cavazos-lab/PolyBench-ACC, 2011.
  19. H. Wen and W. Zhang. Interference Evaluation In CPU-GPU Heterogeneous Computing. IEEE High Performance Extreme Computing Conference (HPEC), 2017. Google Scholar
  20. Heechul Yun, Gang Yao, Rodolfo Pellizzoni, Marco Caccamo, and Lui Sha. MemGuard: Memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In 2013 IEEE 19th Real-Time and Emb. Tech. and Applications Symposium (RTAS), pages 55-64, 2013. URL: https://doi.org/10.1109/RTAS.2013.6531079.
  21. Matteo Zini, Giorgiomaria Cicero, Daniel Casini, and Alessandro Biondi. Profiling and controlling I/O‐related memory contention in COTS heterogeneous platforms. Software: Practice and Experience, November 2021. URL: https://doi.org/10.1002/spe.3053.
  22. Alexander Zuepke, Andrea Bastoni, Weifan Chen, Marco Caccamo, and Renato Mancuso. MemPol: Policing Core Memory Bandwidth from Outside of the Cores. In 2023 IEEE 29th Real-Time and Embedded Technology and Applications Symposium (RTAS), pages 235-248, 2023. URL: https://doi.org/10.1109/RTAS58335.2023.00026.