Document Open Access Logo

Measurement-Based Timing Analysis of the AURIX Caches

Authors Leonidas Kosmidis, Davide Compagnin, David Morales, Enrico Mezzetti, Eduardo Quinones, Jaume Abella, Tullio Vardanega, Francisco J. Cazorla



PDF
Thumbnail PDF

File

OASIcs.WCET.2016.9.pdf
  • Filesize: 0.73 MB
  • 11 pages

Document Identifiers

Author Details

Leonidas Kosmidis
Davide Compagnin
David Morales
Enrico Mezzetti
Eduardo Quinones
Jaume Abella
Tullio Vardanega
Francisco J. Cazorla

Cite AsGet BibTex

Leonidas Kosmidis, Davide Compagnin, David Morales, Enrico Mezzetti, Eduardo Quinones, Jaume Abella, Tullio Vardanega, and Francisco J. Cazorla. Measurement-Based Timing Analysis of the AURIX Caches. In 16th International Workshop on Worst-Case Execution Time Analysis (WCET 2016). Open Access Series in Informatics (OASIcs), Volume 55, pp. 9:1-9:11, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2016)
https://doi.org/10.4230/OASIcs.WCET.2016.9

Abstract

Cache memories are one of the hardware resources with higher potential to reduce worst-case execution time (WCET) costs for software programs with tight real-time constraints. Yet, the complexity of cache analysis has caused a large fraction of real-time systems industry to avoid using them, especially in the automotive sector. For measurement-based timing analysis (MBTA) - the dominant technique in domains such as automotive - cache challenges the definition of test scenarios stressful enough to produce (cache) layouts that causing high contention. In this paper, we present our experience in enabling the use of caches for a real automotive application running on an AURIX multiprocessor, using software randomization and measurement-based probabilistic timing analysis (MBPTA). Our results show that software randomization successfully exposes - in the experiments performed for timing analysis - cache related variability, in a manner that can be effectively captured by MBPTA.
Keywords
  • WCET
  • caches
  • AURIX
  • Automotive

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. Jaume Abella. Improving mbpta with the coefficient of variation. Technical Report UPC-DAC-RR-CAP-2015-11, UPC, July 2015. Google Scholar
  2. J. Abella et al. Heart of Gold: Making the improbable happen to extend coverage in probabilistic timing analysis. In ECRTS, 2014. Google Scholar
  3. J. Abella et al. On the comparison of deterministic and probabilistic WCET estimation techniques. In ECRTS, 2014. Google Scholar
  4. A. Baldovin et al. A time-composable operating system. In WCET Analysis Workshop, 2012. Google Scholar
  5. S. Boslaugh and P.A. Watters. Statistics in a nutshell. O'Reilly Media, Inc., 2008. Google Scholar
  6. G.E.P. Box and D.A. Pierce. Distribution of residual autocorrelations in autoregressive-integrated moving average time series models. J. of the American Statistical Assoc., 1970. Google Scholar
  7. L.P. Bradford and R. Quong. An empirical study on how program layout affects cache miss rates. SIGMETRICS Perform. Eval., 3(27):28-42, 1999. Google Scholar
  8. F.J. Cazorla et al. Upper-bounding program execution time with extreme value theory. In WCET Workshop, 2013. Google Scholar
  9. L. Cucu-Grosjean et al. Measurement-based probabilistic timing analysis for multi-path programs. In ECRTS, 2012. Google Scholar
  10. C. Curtsinger and E.D. Berger. STABILIZER: statistically sound performance evaluation. In ASPLOS, 2013. Google Scholar
  11. E. Mezzetti et al. Cache Optimisations for LEON Analyses (COLA). Technical report, ESA/ESTEC, 2011. Google Scholar
  12. International Organization for Standardization. ISO/DIS 26262. Road Vehicles - Functional Safety, 2009. Google Scholar
  13. L. Kosmidis et al. A cache design for probabilistically analysable real-time systems. In DATE, 2013. Google Scholar
  14. L. Kosmidis et al. Probabilistic timing analysis on conventional cache designs. In DATE, 2013. Google Scholar
  15. L. Kosmidis et al. Probabilistic timing analysis and its impact on processor architecture. In DSD, 2014. Google Scholar
  16. L. Kosmidis et al. PUB: Path upper-bounding for measurement-based probabilistic timing analysis. In ECRTS, 2014. Google Scholar
  17. S. Kotz et al. Extreme value distributions: theory and applications. World Scientific, 2000. Google Scholar
  18. L. Kosmidis et al. Containing timing-related certification cost in automotive systems deploying complex hardware. Best Paper Award, DAC, 2014. Google Scholar
  19. E. Mezzetti and T. Vardanega. A rapid cache-aware procedure positioning optimization to favor incremental development. In RTAS, 2013. Google Scholar
  20. E. Mezzetti et al. Randomized caches can be pretty useful to hard real-time systems. LITES, 2(1), 2015. Google Scholar
  21. S. Milutinovic et al. Modelling probabilistic cache representativeness in the presence of arbitrary access patterns. In ISORC, 2016. Google Scholar
  22. N. C. Gloy et al. Procedure placement using temporal ordering information. In MICRO, 1997. Google Scholar
  23. Eduardo Quinones, Emery D. Berger, Guillem Bernat, and Francisco J. Cazorla. Using Randomized Caches in Probabilistic Real-Time Systems. In 22nd Euromicro Conference on Real-Time Systems (ECRTS), pages 129-138, 2009. Google Scholar
  24. J. Reineke. Randomized caches considered harmful in hard real-time systems. LITES, 1(1), 2014. Google Scholar
  25. T. Mytkowicz et al. Producing wrong data without doing anything obviously wrong! In ASPLOS, 2009. Google Scholar
  26. http://www.ehitex.de/application-kits/infineon/2531/aurix-application-kit-tc277-tft . AURIX Application Kit TC277 TFT. hitex. URL: http://www.ehitex.de/application-kits/infineon/2531/aurix-application-kit-tc277-tft.
  27. R. Wilhelm et al. The worst-case execution-time problem overview of methods and survey of tools. ACM Transactions on Embedded Computing Systems, 7:1-53, May 2008. Google Scholar
  28. M. Ziccardi et al. EPC: extended path coverage for measurement-based probabilistic timing analysis. In RTSS, 2015. Google Scholar
  29. M. Ziccardi et al. Software-enforced Interconnect Arbitration for COTS Multicores. In WCET Analysis Workshop, 2015. Google Scholar
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail