Mixed-Criticality Scheduling with Dynamic Redistribution of Shared Cache

Authors Muhammad Ali Awan, Konstantinos Bletsas, Pedro F. Souto, Benny Akesson, Eduardo Tovar



PDF
Thumbnail PDF

File

LIPIcs.ECRTS.2017.18.pdf
  • Filesize: 0.72 MB
  • 21 pages

Document Identifiers

Author Details

Muhammad Ali Awan
Konstantinos Bletsas
Pedro F. Souto
Benny Akesson
Eduardo Tovar

Cite AsGet BibTex

Muhammad Ali Awan, Konstantinos Bletsas, Pedro F. Souto, Benny Akesson, and Eduardo Tovar. Mixed-Criticality Scheduling with Dynamic Redistribution of Shared Cache. In 29th Euromicro Conference on Real-Time Systems (ECRTS 2017). Leibniz International Proceedings in Informatics (LIPIcs), Volume 76, pp. 18:1-18:21, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2017)
https://doi.org/10.4230/LIPIcs.ECRTS.2017.18

Abstract

The design of mixed-criticality systems often involves painful tradeoffs between safety guarantees and performance. However, the use of more detailed architectural models in the design and analysis of scheduling arrangements for mixed-criticality systems can provide greater confidence in the analysis, but also opportunities for better performance. Motivated by this view, we propose an extension of Vestal's model for mixed-criticality multicore systems that (i) accounts for the per-task partitioning of the last-level cache and (ii) supports the dynamic reassignment, for better schedulability, of cache portions initially reserved for lower-criticality tasks to the higher-criticality tasks, when the system switches to high-criticality mode. To this model, we apply partitioned EDF scheduling with Ekberg and Yi's deadline-scaling technique. Our schedulability analysis and scalefactor calculation is cognisant of the cache resources assigned to each task, by using WCET estimates that take into account these resources. It is hence able to leverage the dynamic reconfiguration of the cache partitioning, at mode change, for better performance, in terms of provable schedulability. We also propose heuristics for partitioning the cache in low- and high-criticality mode, that promote schedulability. Our experiments with synthetic task sets, indicate tangible improvements in schedulability compared to a baseline cache-aware arrangement where there is no redistribution of cache resources from low- to high-criticality tasks in the event of a mode change.
Keywords
  • Mixed Criticality Scheduling
  • Vestal Model
  • Dynamic Redistribution of Shared Cache
  • Shared Last-level Cache Analysis
  • Cache-aware Scheduling

Metrics

  • Access Statistics
  • Total Accesses (updated on a weekly basis)
    0
    PDF Downloads

References

  1. M. A. Awan, K. Bletsas, P. F. Souto, Benny Åkesson, and E. Tovar. Mixed-criticality scheduling with dynamic redistribution of shared cache, 2017. arXiv:1704.08876, URL: http://arxiv.org/abs/1704.08876.
  2. M. A. Awan, K. Bletsas, P. F. Souto, and E. Tovar. Semi-partitioned mixed-criticality scheduling. In 30th Int. Conf. on the Architecture of Computing Systems (ARCS), pages 205-218, 2017. URL: http://dx.doi.org/10.1007/978-3-319-54999-6_16.
  3. Muhammad Ali Awan. Source code for our tool, 2017. URL: https://goo.gl/jNVcbJ.
  4. S. Baruah, V. Bonifaci, G. DAngelo, H. Li, A. Marchetti-Spaccamela, S. van der Ster, and L. Stougie. The preemptive uniprocessor scheduling of mixed-criticality implicit-deadline sporadic task systems. In 24th Euromicro Conference on Real-Time Systems (ECRTS), pages 145-154, July 2012. URL: http://dx.doi.org/10.1109/ECRTS.2012.42.
  5. Sanjoy Baruah and Alan Burns. Implementing mixed criticality systems in Ada. In 16th Ada-Europe Conference, pages 174-188, 2011. Google Scholar
  6. S. K. Baruah, A. K. Mok, and L. E. Rosier. Preemptively scheduling hard-real-time sporadic tasks on one processor. In 11th Real-Time Systems Symposium (RTSS 1990), pages 182-190, Dec 1990. URL: http://dx.doi.org/10.1109/REAL.1990.128746.
  7. A. Bastoni, B. B. Brandenburg, and J. H. Anderson. Cache-related preemption and migration delays: Empirical approximation and impact on schedulability. Proceedings of OSPERT, pages 33-44, 2010. Google Scholar
  8. M. Behnam, R. Inam, T. Nolte, and M. Sjödin. Multi-core composability in the face of memory-bus contention. ACM SIGBED Review, 10(3):35-42, 2013. URL: http://dx.doi.org/10.1145/2544350.2544354.
  9. E. Bini and G. C. Buttazzo. Measuring the performance of schedulability tests. Real-Time Systems, 30(1-2):129-154, May 2005. URL: http://dx.doi.org/10.1007/s11241-005-0507-9.
  10. A. Burns and R. I. Davis. Adaptive mixed criticality scheduling with deferred preemption. In 35th IEEE Real-Time Systems Symposium (RTSS 2014), pages 21-30, Dec 2014. URL: http://dx.doi.org/10.1109/RTSS.2014.12.
  11. Alan Burns and Robert Davis. Mixed criticality systems-a review. Department of Computer Science, University of York, Tech. Rep, 2013. Google Scholar
  12. R. I. Davis and A. Burns. Priority assignment for global fixed priority pre-emptive scheduling in multiprocessor real-time systems. In 30th IEEE Real-Time Systems Symposium (RTSS 2009), pages 398-409, Dec 2009. URL: http://dx.doi.org/10.1109/RTSS.2009.31.
  13. P. Ekberg and W. Yi. Bounding and shaping the demand of mixed-criticality sporadic tasks. In 24th Euromicro Conference on Real-Time Systems (ECRTS), pages 135-144, July 2012. URL: http://dx.doi.org/10.1109/ECRTS.2012.24.
  14. P. Ekberg and W. Yi. Bounding and shaping the demand of generalized mixed-criticality sporadic task systems. Journal of Real-Time Systems, 50(1):48-86, January 2014. URL: http://dx.doi.org/10.1007/s11241-013-9187-z.
  15. J. Flodin, K. Lampka, and W. Yi. Dynamic budgeting for settling dram contention of co-running hard and soft real-time tasks. In 9th IEEE International Symposium on Industrial Embedded Systems (SIES), pages 151-159, June 2014. URL: http://dx.doi.org/10.1109/SIES.2014.6871199.
  16. X. Gu and A. Easwaran. Dynamic budget management with service guarantees for mixed-criticality systems. In 37th IEEE Real-Time Systems Symposium (RTSS), pages 47-56, Nov 2016. URL: http://dx.doi.org/10.1109/RTSS.2016.014.
  17. Raj Jain. The art of computer systems performance analysis - techniques for experimental design, measurement, simulation, and modeling. Wiley professional computing. Wiley, 1991. Google Scholar
  18. R. Mancuso, R. Dudko, E. Betti, M. Cesati, M. Caccamo, and R. Pellizzoni. Real-time cache management framework for multi-core architectures. In 19th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2013), pages 45-54, April 2013. URL: http://dx.doi.org/10.1109/RTAS.2013.6531078.
  19. R. Mancuso, R. Pellizzoni, M. Caccamo, L. Sha, and H. Yun. WCET(m) estimation in multi-core systems using single core equivalence. In 27th Euromicro Conference on Real-Time Systems (ECRTS 2015), pages 174-183, July 2015. URL: http://dx.doi.org/10.1109/ECRTS.2015.23.
  20. A. Masrur, D. Müller, and M. Werner. Bi-level deadline scaling for admission control in mixed-criticality systems. In 21st IEEE Int. Conf. on Embedded and Real-Time Computing Systems and Applications (RTCSA), pages 100-109, 2015. URL: http://dx.doi.org/10.1109/RTCSA.2015.35.
  21. J. Nowotsch, M. Paulitsch, D. Bühler, H. Theiling, S. Wegener, and M. Schmidt. Multi-core interference-sensitive WCET analysis leveraging runtime resource capacity enforcement. In 26th Euromicro Conf. on Real-Time Systems (ECRTS), pages 109-118, 2014. URL: http://dx.doi.org/10.1109/ECRTS.2014.20.
  22. R. Pellizzoni and H. Yun. Memory servers for multicore systems. In 22nd IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2016), pages 97-108, April 2016. URL: http://dx.doi.org/10.1109/RTAS.2016.7461339.
  23. J. Reineke and J. Doerfert. Architecture-parametric timing analysis. In 20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), pages 189-200, 2014. Google Scholar
  24. Lui Sha, Marco Caccamo, Renato Mancuso, Jung-Eun Kim, Man-Ki Yoon, Rodolfo Pellizzoni, Heechul Yun, Russel Kegley, Dennis Perlman, Greg Arundale, Bradford Richard, et al. Single core equivalent virtual machines for hard real—time computing on multicore processors. Technical report, Univ. of Illinois at Urbana Champaign, 2014. Google Scholar
  25. P. K. Valsan, H. Yun, and F. Farshchi. Taming non-blocking caches to improve isolation in multicore real-time systems. In 22nd IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), pages 1-12, April 2016. URL: http://dx.doi.org/10.1109/RTAS.2016.7461361.
  26. S. Vestal. Preemptive scheduling of multi-criticality systems with varying degrees of execution time assurance. In 28th IEEE International Real-Time Systems Symposium (RTSS 2007), pages 239-243, Dec 2007. URL: http://dx.doi.org/10.1109/RTSS.2007.47.
  27. H. Yun, R. Mancuso, Z. P. Wu, and R. Pellizzoni. PALLOC: DRAM bank-aware memory allocator for performance isolation on multicore platforms. In 20th IEEEReal-Time and Embedded Technology and Applications Symposium (RTAS 2014), pages 155-166, April 2014. URL: http://dx.doi.org/10.1109/RTAS.2014.6925999.
  28. H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha. Memory access control in multiprocessor for real-time systems with mixed criticality. In 24th Euromicro Conference on Real-Time Systems (ECRTS 2012), pages 299-308, July 2012. URL: http://dx.doi.org/10.1109/ECRTS.2012.32.
  29. H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha. Memguard: Memory bandwidth reservation system for efficient performance isolation in multi-core platforms. In 19th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2013), pages 55-64, April 2013. URL: http://dx.doi.org/10.1109/RTAS.2013.6531079.
  30. H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha. Memory bandwidth management for efficient performance isolation in multi-core platforms. IEEE Transactions on Computers, 65(2):562-576, Feb 2016. URL: http://dx.doi.org/10.1109/TC.2015.2425889.
Questions / Remarks / Feedback
X

Feedback for Dagstuhl Publishing


Thanks for your feedback!

Feedback submitted

Could not send message

Please try again later or send an E-mail